asynchronous - определение. Что такое asynchronous
Diclib.com
Словарь ChatGPT
Введите слово или словосочетание на любом языке 👆
Язык:

Перевод и анализ слов искусственным интеллектом ChatGPT

На этой странице Вы можете получить подробный анализ слова или словосочетания, произведенный с помощью лучшей на сегодняшний день технологии искусственного интеллекта:

  • как употребляется слово
  • частота употребления
  • используется оно чаще в устной или письменной речи
  • варианты перевода слова
  • примеры употребления (несколько фраз с переводом)
  • этимология

Что (кто) такое asynchronous - определение

WIKIMEDIA DISAMBIGUATION PAGE
Asynchronous; Asynchronously; Asynchrony (disambiguation); Asynchronous (disambiguation); Asyncrony; Asyncronous; Async
Найдено результатов: 132
Asynchronous         
·add. ·adj Not simultaneous; not concurrent in time;
- opposed to synchronous.
asynchronous         
<architecture> Not synchronised by a shared signal such as clock or semaphore, proceeding independently. Opposite: synchronous. 1. <operating system> A process in a multitasking system whose execution can proceed independently, "in the background". Other processes may be started before the asynchronous process has finished. 2. <communications> A communications system in which data transmission may start at any time and is indicated by a start bit, e.g. EIA-232. A data byte (or other element defined by the protocol) ends with a stop bit. A continuous marking condition (identical to stop bits but not quantized in time), is then maintained until data resumes. (1995-12-08)
asynchronous         
¦ adjective
1. not existing or occurring at the same time.
2. Computing & Telecommunications making use of pulses to control the timing of operations that are sent when the previous operation is completed, rather than at regular intervals.
3. (of a machine or motor) not working in time with the alternations of current.
4. Astronomy (of a satellite) revolving round the parent planet at a different rate from that at which the planet rotates.
Derivatives
asynchronously adverb
asynchrony noun
Asynchronous circuit         
  • Illustration of two and four-phase handshakes. Top: A sender and a receiver are communicating with simple request and acknowledge signals. The sender drives the request line, and the receiver drives the acknowledge line. Middle: Timing diagram of two, two-phase communications. Bottom: Timing diagram of one, four-phase communication.
  • A 4-phase, bundled-data communication. Top: A sender and receiver are connected by data lines, a request line, and an acknowledge line. Bottom: Timing diagram of a bundled data communication. When the request line is low, the data is to be considered invalid and liable to change at any time.
  • Diagram of dual rail and 1-of-4 communications. Top: A sender and receiver are connected by data lines and an acknowledge line. Middle: Timing diagram of the sender communicating the values 0, 1, 2, and then 3 to the receiver with the 1-of-4 encoding. Bottom: Timing diagram of the sender communicating the same values to the receiver with the dual-rail encoding. For this particular data size, the dual rail encoding is the same as a 2x1-of-2 encoding.
DIGITAL CIRCUIT WITHOUT CLOCK CYCLES
Asynchronous logic; Asynchronous vlsi; Clockless Logic; Clockless computing; Clockless; Clockless processor; Asynchronous Processor; Clockless logic; NULL convention logic; Vennjunction; Clockless CPU; Asynchronous CPU; Four-phase handshake; Asynchronous design; Asynchronous computer
Asynchronous circuit (clockless or self-timed circuit) is a sequential digital logic circuit that does not use a global clock circuit or signal generator to synchronize its components. Instead, the components are driven by a handshaking circuit which indicates a completion of a set of instructions.
asynchronous logic         
  • Illustration of two and four-phase handshakes. Top: A sender and a receiver are communicating with simple request and acknowledge signals. The sender drives the request line, and the receiver drives the acknowledge line. Middle: Timing diagram of two, two-phase communications. Bottom: Timing diagram of one, four-phase communication.
  • A 4-phase, bundled-data communication. Top: A sender and receiver are connected by data lines, a request line, and an acknowledge line. Bottom: Timing diagram of a bundled data communication. When the request line is low, the data is to be considered invalid and liable to change at any time.
  • Diagram of dual rail and 1-of-4 communications. Top: A sender and receiver are connected by data lines and an acknowledge line. Middle: Timing diagram of the sender communicating the values 0, 1, 2, and then 3 to the receiver with the 1-of-4 encoding. Bottom: Timing diagram of the sender communicating the same values to the receiver with the dual-rail encoding. For this particular data size, the dual rail encoding is the same as a 2x1-of-2 encoding.
DIGITAL CIRCUIT WITHOUT CLOCK CYCLES
Asynchronous logic; Asynchronous vlsi; Clockless Logic; Clockless computing; Clockless; Clockless processor; Asynchronous Processor; Clockless logic; NULL convention logic; Vennjunction; Clockless CPU; Asynchronous CPU; Four-phase handshake; Asynchronous design; Asynchronous computer
<architecture> A data-driven circuit design technique where, instead of the components sharing a common clock and exchanging data on clock edges, data is passed on as soon as it is available. This removes the need to distribute a common clock signal throughout the circuit with acceptable {clock skew}. It also helps to reduce power dissipation in CMOS circuits because gates only switch when they are doing useful work rather than on every clock edge. There are many kinds of asynchronous logic. Data signals may use either "dual rail encoding" or "data bundling". Each dual rail encoded Boolean is implemented as two wires. This allows the value and the timing information to be communicated for each data bit. Bundled data has one wire for each data bit and another for timing. Level sensitive circuits typically represent a logic one by a high voltage and a logic zero by a low voltage whereas transition signalling uses a change in the signal level to convey information. A speed independent design is tolerant to variations in gate speeds but not to propagation delays in wires; a delay insensitive circuit is tolerant to variations in wire delays as well. The purest form of circuit is delay-insensitive and uses dual-rail encoding with transition signalling. A transition on one wire indicates the arrival of a zero, a transition on the other the arrival of a one. The levels on the wires are of no significance. Such an approach enables the design of fully delay-insensitive circuits and automatic layout as the delays introduced by the layout compiler can't affect the functionality (only the performance). Level sensitive designs can use simpler, stateless logic gates but require a "return to zero" phase in each transition. http://cs.man.ac.uk/amulet/async/. (1995-01-18)
Asynchronous method invocation         
MULTITHREAD DESIGN PATTERN WHERE THE CALLING THREAD IS NOTIFIED WHEN THE REPLY ARRIVES, THEREBY NOT BLOCKING THE CALL SITE WHILE WAITING FOR CALLED CODE TO FINISH
Asyncronious error reporting pattern; Asyncronous error reporting; Event-based Asychronous Pattern; Asynchronous error reporting; Event-based Asynchronous Pattern; Event-Based Asynchronous Pattern; The Task-based Asynchronous Pattern
In multithreaded computer programming, asynchronous method invocation (AMI), also known as asynchronous method calls or the asynchronous pattern is a design pattern in which the call site is not blocked while waiting for the called code to finish. Instead, the calling thread is notified when the reply arrives.
asyncronous         
<spelling> It's spelled "asynchronous". (1996-12-13)
Asynchronous system         
SYSTEM HAVING NO GLOBAL CLOCK, INSTEAD OPERATING UNDER DISTRIBUTED CONTROL
Asynchronous Systems; Asynchronous systems
The primary focus of this article is asynchronous control in digital electronic systems. In a synchronous system, operations (instructions, calculations, logic, etc.
Asynchronous learning         
STUDENT-CENTERED TEACHING METHOD
Asynchronous Learning
Asynchronous learning is a general term used to describe forms of education, instruction, and learning that do not occur in the same place or at the same time. It uses resources that facilitate information sharing outside the constraints of time and place among a network of people..
Asynchronous communication mechanism         
Asynchronous Communication Mechanism
The role of an asynchronous communication mechanism (ACM) is to synchronize the transfer of data in a system between a writing process and a reading process operating concurrently.

Википедия

Asynchrony

Asynchrony is the state of not being in synchronization.

Asynchrony or asynchronous may refer to: